EDA考試複習題及答案

EDA考試即將開啓序幕,不知道做爲考生的你準備好了嗎?在此小編收集了一些複習題,供大家練習之用。

EDA考試複習題及答案

  一、填空題(本大題共10小題,每空1分,共20 分)

1.一般把EDA技術的發展分爲MOS時代、MOS時代和 ASIC三個階段。

設計流程包括 設計輸入、設計實現、實際設計檢驗和 下載編程四個步驟。

設計輸入主要包括圖形輸入、HDL文本輸入和狀態機輸入。

4.時序仿真是在設計輸入完成之後,選擇具體器件並完成佈局、佈線之後進行的`時序關係仿真,因此又稱爲功能仿真。

的數據對象包括變量、常量和信號,它們是用來存放各種類型數據的容器。

6.圖形文件設計結束後一定要通過仿真,檢查設計文件是否正確。

7.以EDA方式設計實現的電路設計文件,最終可以編程下到FPGA 和CPLD 芯片中,完成硬件設計和驗證。

+PLUS的文本文件類型是(後綴名)。

9.在PC上利用VHDL進行項目設計,不允許在根目錄下進行,必須在根目錄爲設計建立一個工程目錄。

源程序的文件名應與實體名相同,否則無法通過編譯。

  二、選擇題:(本大題共5小題,每小題3分,共15 分)。

11. 在EDA工具中,能完成在目標系統器件上佈局佈線軟件稱爲(C )

A.仿真器 B.綜合器 C.適配器 D.下載器

12. 在執行MAX+PLUSⅡ的(d )命令,可以精確分析設計電路輸入與輸出波形間的延時量。

A te default symbol B. Simulator

C. Compiler ng Analyzer

常用的庫是(A )

A. IEEE C. WORK D. PACKAGE

14.下面既是並行語句又是串行語句的是( C )

A.變量賦值 B.信號賦值 ESS語句 …ELSE語句

15.在VHDL中,用語句(D )表示clock的下降沿。

A. clock’EVENT B. clock’EVENT AND clock=’1’

C. clock=’0’ D. clock’EVENT AND clock=’0’

  三、名詞解釋題:(本大題共3題,每小題3分,共計9分)

16. EDA: 電子設計自動化

和FPGA: 超高速硬件描述語言 現場可編程門陣列