FPGA設計優化及方案改進

在FPGA設計中,必須首先明確HDL源代碼編寫非常重要;不同綜合工具包含的綜合子集不同致使有些HDL語句在某些綜合工具中不能綜合;同一邏輯功能可用不同HDL語句進行描述,但佔用資源卻可能差別很大。同時應當深刻理解併發性是硬件描述語言與普通高級語言的根本區別,因而設計硬件電路不能受傳統順序執行思維的束縛。

FPGA設計優化及方案改進

此外,我們應當清楚速度優化與面積優化在FPGA設計中佔有重要地位。對於大多數數字系統設計而言,速度常常是第一要求,但FPGA結構特性、綜合工具性能、系統電路構成、PCB製版情況及HDL代碼表述都會對工作速度產生重要影響。我們通過在電路結構設計中採用設計、寄存器配平、關鍵路徑法可以進行速度優化。

  (1)流水線設計

流水線(Pipelining)技術在速度優化中相當流行,它能顯著提高系統設計的運行速度上限,在現代微、數字信號處理器、MCU、高速數字系統設計中都離不開流水線技術。圖4與圖5是流水線設計的`典型圖示,其中圖4未使用流水線設計,圖5採用了2級流水線設計,在設計中將延時較大的組合邏輯塊切割成兩塊延時大致相等的組合邏輯塊,並在這兩個邏輯塊中插入了觸發器,即滿足以下關係式:Ta=T1+T2,T1≈T2。通過分析可知,圖4中Fmax≈1/Ta;圖5中流水線第1級最高工作頻率Fmax1≈1/T1,流水線第2級最高工作頻率Fmax2≈1/T2≈1/T1,總設計最高頻率為Fmax≈Fmax1≈Fmax2≈1/T1,因此圖5設計速度較圖4提升了近一倍。

  (2)寄存器配平(Register Balancing)

寄存器配平是通過配平寄存器之間的組合延時邏輯塊來實現速度優化,兩個組合邏輯塊延時差別過大,導致設計總體工作頻率Fmax取決於T1,即最大的延時模塊,從而使設計整體性能受限。通過對圖7設計進行改進,將延時較大的組合邏輯1的部分邏輯轉移到組合邏輯2中,成為圖8結構,以減小延時T1,使t1≈t2,且滿足T1+T2=t1+t2。寄存器配平後的圖8結構中Fmax≈1/t1>1/T1,從而提高了設計速度。

  (3)關鍵路徑法

關鍵路徑是指設計中從輸入到輸出經過的延時最長的邏輯路徑,優化關鍵路徑是提高設計工作速度的有效方法。圖9中Td1>Td2,Td1>Td3,關鍵路徑為延時Td1的模塊,由於從輸入到輸出的延時取決於延時最長路徑,而與其他延時較小的路徑無關,因此減少Td1則能改善輸入到輸出的總延時。

在優化設計過程中關鍵路徑法可反覆使用,直到不可能減少關鍵路徑延時為止。許多EDA開發工具都提供時序分析器可以幫助找到延時最長的關鍵路徑,以便設計者改進設計。對於結構固定的設計,關鍵路徑法是進行速度優化的首選方法,可與其他方法配合使用。

在FPGA設計中,面積優化實質上就是資源利用優化,面積優化有多種實現方法,諸如資源共享、邏輯優化、串行化,其中資源共享使用較多,下面舉例説明。

在利用FPGA設計數字系統時經常遇到同一模塊需要反覆被調用,例如多位乘法器、快速進位加法器等算術模塊,它們佔用芯片資源很多,使系統成本及器件功耗大幅上升,因而使用資源共享技術能夠顯著優化資源。圖10和圖11是資源共享的一個典型實例,由圖可見使用資源共享技術節省了一個多位乘法器,從而達到減少資源消耗、優化面積的目的。