EDA技術應用與發展

EDA是電子設計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設計(CAD)、計算機輔助製造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發展而來的。那麼eda技術有什麼特點呢?下面yjbys小編爲大家解讀eda技術應用及發展前途,僅供參考!

EDA技術應用與發展

EDA技術主要是指面向專用集成電路設計的計算機技術,與傳統的專用集成電路設計技術相比,其特點有:

①設計全程,包括電路系統描述、硬件設計、仿真測試、綜合、調試、軟件設計,直至硬件系統都由計算機完成;

②設計技術直接面向用戶,即專用集成電路的被動使用都有同時也可能是專用集成電路的主動設計者;

③專用集成電路的實現有了更多的途徑,即除傳統的ASIC器件外,還能通過FPGA、CPLD、ispPAC、FPSC等可編程器件來實現,本文主要就後者,簡要介紹EDA技術及其應用最新近的一些發展。

由於在電子系統設計領域中的明顯優勢,基於大規模可編程器件解決方案的EDA技術及其應用在近年中有了巨大的發展,將電子設計技術再次推向又一嶄新的歷史階段。這些新的發展大致包括這樣6個方面:①新器件;②新工具軟件;③嵌入式系統設計;④DSP系統設計;⑤計算機處理器設計;⑥與ASIC市場的競爭技術。以下將分別予以說明。

  1、新器件

由於市場產品的需求和市場競爭的促進,成熟的EDA工具所能支持的,同時標誌着最新EDA工具所能支持的,同時標誌着最新EDA技術發展成果的新器件不斷涌現,其特點主要表現爲:

(1)大規模。邏輯規模已達數百萬門,近10萬邏輯宏單元,可以將一個複雜的電路系統,包括諸如一個至多個嵌入式系統處理器、各類通信接口、控制模塊和DSP模塊等裝入一個芯片中,即能滿足所謂的SOPC設計。典型的器件有Altera的Stratix系列、Excalibue系列;Xilinx的Virtex-II Pro系列、Spartan-3系列(該系列達到了90nm工藝技術)。

(2)低功耗。儘管一般的FPGA和CPLD在功能和規模上都能很好地滿足絕大多數的系統設計要求,但對於有低功耗要法語的便攜式產品來說,通常都難於滿足要求,但由Lattice公司最新推出的ispMACH4000z系列CPLD達到了前所未有的低功耗性能,靜態功耗20微安,以至於被稱爲0功耗器件,而其它性能,如速度、規模、接口特性等仍然保持了很好的指標。

(3)模擬可編程。各種應用EDA工具軟件設計、isp方式編程下載的模擬可編程及模數混合可編程器件不斷出現。最具代表性的器件是Lattice的ispPAC系列器件,其中包括常規模擬可編程器件ispPAC10;精密高階低通濾波器設計專用器件ispPAC80;模數混合通用在系統可編程器件ispPAC20;在系統可編程電子系統電源管理器件ispPAC-POWER等等。

(4)含多種專用端口和附加功能模塊的FPGA。例如Lattice的ORT、ORSO系列器件,含sysHSI SERDES技術的FPGA具有通信速度高達3.7Gbps的SERDES背板收發器,其中內嵌8b/10b編解碼器,以及超過40萬門的FPGA可編程邏輯資源;Altera的Stratix、Cyclone、APEX等系列器件,除內嵌大量ESB(嵌入式系統塊)外,還含有嵌入的鎖相環模塊(用於時鐘發生和管理)、嵌入式微處理器核等。此外,Stratix系列器件還嵌有豐富的DSP模塊。

  2、新工具軟件

爲了適應更大規模FPGA的開發,包括片上系統的DSP的開發,除了第三方EDA公司不斷更新的通用EDA工具外,主要PLD供應商也相繼推出,並適時升級其EDA開發工具。

如Lattice公司從早期的Synario,升級到後來的ispEXPERT System、ispDesignEXPERT System、ispLEVER,直到現在的ispLEVER Advanced System通用EDA工具,可用於開發Lattice所有的FPGA、FPSC、CPLD和GDX器件。

Xilinx推出的最新設計環境是ISE6.1I,其中增加了許多新的功能,如支持嵌入式系統的Linux開發,支持混合硬件描述語言綜合設計流程、強化排錯功能、Chip Scope Pro實時調試器等等。此外還升級了用於軟核嵌入式系統調試的工具Embedded Developmen Kit和基於FPGA的DSP開發環境System Generator for DSP。

同樣,Altera也推出了適用於不同設計對象的EDA開發環境。其中QuartusⅡ 3.0是一綜合設計環境,被稱爲SOPC(可編程單片系統)升級環境,它承接了原來MaxplusⅡ的全部設計功能和器件對象外還增加了許多新功能和新的FPGA器件系列,包括一些適用於SOPC開發的大規模器件。

相對於上述EDA工具,QuartusⅡ含有許多更具特色和更強的實用功能,大致有以下幾點:

(1)QuartusⅡ與MATLAB/Simulink和Altera的DSP Builder,以及第三方的綜合器和仿真器相結合,用於開發DSP硬件系統;

(2)QuartusⅡ與SOPC Builder結合用於開發Nios嵌入式系統;

(3)QuartusⅡ含實時調試工具、嵌入式邏輯分析式Signal TapⅡ。

隨着邏輯設計複雜性的不斷增加,在計算機上以軟件方式的仿真測試變得更加耗費時間,而不斷需要重複進行的硬件系統的測試同樣變得更爲困難。爲了解決這些問題,設計者可以將一種高效的硬件實時測試手段和傳統的系統測試方法相結合來完成。這就是嵌入式邏輯分析儀Signal TapⅡ的使用。它可以隨設計文件一併下載於目標芯片中,用以捕捉目標芯片內設計者感興趣的信號節點處的信號,而又不影響原硬件系統的正常工作。可以通過兩種方式來使用Signal TapⅡ,一種是直接使用QuartusⅡ3.0中的Signal TapⅡ;另一種方式是通過MATLAB的Simulink和DSP Builder來使用Signal TapⅡ。DSP Builder中包含有Signal TapⅡ模塊,設計者可以使用此模塊設置用於信號探察的事件觸發器,配置存儲器,並能顯示波形。這可以使用Node模塊來選擇有待監測的信號。使用Signal TapⅡ後,當觸發器運行後,通常要佔用部分內部RAM,因爲在實際監測中,將測得的樣本信號暫存於目標器件中的嵌入式RAM(如ESB)中,然後通過器件的JTAG端口和Byte BlasterⅡ下載線將採得的信息傳出,送於PC機進行分析。PC機中送達的數據是以文本文件的方式存儲的,並可在Simulink圖上顯示波形;

(4)QuartusⅡ含一種十分有效的邏輯設計優化技術,即設計模塊在FPGA中指定區域內的邏輯鎖定功能,Logic Lock技術。

有FPGA開發經驗的人都會有這樣的體會,原來在硬件測試上十分成功的FPGA設計,結果在源代碼並沒有任何改變的情況下,僅僅是增加了一點與原程序毫不相干的電路描述,或甚至只改變了某個端口信號的引腳鎖定位置,結果在綜合適配後,原設計的硬件性能大爲下降,如速度降低了,有時甚至無法正常工作。這時,如果比較改變設計前後的Floorplan圖,會發現芯片內部資源的使用情況發生了巨大的變化。這表明,即使對原設計作極小的改變(更不用說對適配約束條件的改變),都會使適配器對原設計的佈線(routing)和佈局(placing)策略作大幅改變和調整。同時,當設計規模比較大時,人爲很難直接介入佈線/佈局的優化。對於由許多基本電路模塊構建成的頂層系統的FPGA開發,類似的問題將更加突出。例如,原來某一基本模塊的FPGA硬件測試十分成功,包括工作性能、速度以及資源利用率等,但當將這些基本模塊連接到一個頂層設計後,即使在同一FPGA中進行測試,也常發現各模塊以及總系統的性能有所下降,甚至無法工作的情況。事實上,如果能在設計基本模塊時,就固定其佈線/佈局的原方案,即使在頂層文件的總體適配時,也不改變原來基本模塊的佈線/佈局及其原來的優化方案,就能很好地解決上述棘手的問題。對此,QuartusⅡ提供了這一優秀的設計技術,可以將設計好的佈線/佈局方案。這樣一來,對於一項較大設計中的某一底層模塊,不但在頂層的軟件描述上是一個子模塊,而且在FPGA芯片中總體適配中,此模塊在硬件便類似於ASIC設計中的一個標準模塊,始終能保持自己原來的佈線/佈局方案,從而在任何大系統中都能保持原有的電路性能,就像一個被調用的獨立的元件一樣,不會由於頂層系統佈線/佈局的改變而改變基本模塊的佈線/佈局結構了。有了邏輯鎖定技術,面對大系統的設計,工程師們就可以將構成大系統的各模塊進行分別設計,分別優化它們的佈線/佈局,及適配約束,逐個地使它們分別獲得最佳的工作性能,逐個優化交鎖定它們的佈線/佈局方案,最後把它們連在一起形成性能優良的頂層系統。顯然,邏輯設計鎖定技術是SOPC單片系統優化設計及IP核成功拼裝應用的有力保證。

(5)QuartusⅡ含有將FPGA設計向ASIC設計我縫轉移的高效的ASIC設計技術,即Hard Copy技術,對此將在後面做更多的說明。

  3、在FPGA中植入嵌入式系統處理器

目前最爲常用的嵌入式系統大多采用了含有ARM的32位知識產權處理器核的器件。儘管由這些器件構成的嵌入式系統有很強的功能,但爲了使系統更爲完備、功能更爲強大、對更多任務的完成具有更好的適就萬籟 ,通常必須爲此處理器配置許多接口器件,方能構成一個完整的應用系統,如除配置常規的SRAM、DRAM、Flash外,還必須配置網絡通信接口、串行通信接口USB接口、VGA接口、PS/2接口等等。這樣勢必會增加整個系統的體積、功耗,降低了系統的可靠性。但是如果將ARM或其它知識產權核以硬核方式植入FPGA中,利用FPGA中的可編程邏輯資源和IP軟核來構成該嵌入式系統處理器的接口功能模塊,就能很好地解決這些問題。對此,Altera和Xilinx公司都相繼推出了這方面的器件。例如,Altera的Excalibur系列FPGA中就植入了ARM922T嵌入式系統處理器;Xilinx的Virtex-ⅡPro系列中植入了IBM PowerPC405處理器。這樣就能使得FPGA的強大的軟件功能有機地相結合,高效地實現SOC系統。

但是,這種將IP硬核植入FPGA的解決方案存在5種不夠完美之處:

(1)由於此類硬核多來自第三方公司,FPGA廠商通常無法直接控制其知識產權費用,從而導致FPGA器件價格相對較高;

(2)由於硬核是預先植入的,設計者無法根據實際需要改變處理器的結構,如總線規模、接口方式,乃至指令形式,更不可能將FPGA邏輯資源構成的硬件模塊以指令的形式形成內置嵌入式系統的硬件加速模塊(如DSP模塊),以適應更多的電路功能要求;

(3)無法根據實際設計需求在同一FPGA中使用指定數量的處理器核;

(4)無法裁減處理器硬件資源以降低FPGA成本;

(5)只能在特定的FPGA中使用硬核嵌入式系統,如只能使用Excalibur系列FPGA中的ARM核,Virtex-ⅡPro系列中的PowerPC核。

但是如果利用軟核嵌入式系統處理器就能有效地解決上述不利因素。它們分別是Altera的Nios核與Xilinx的Micro Blaze。特別是前者,使上述5方面的問題得到全面的解決。

Altera的Nios核是用戶可隨意配置和構建的32位/16位總線(用戶可選的)指令集和數據通道的嵌入式系統微處理器IP核,採用Avalon總線結構通信接口,帶有增強的內存、調試和軟件功能(C或匯偏程序程序優化開發功能);含由First Silicon Solutions(FS2)開發的基於JTAG的片內設備(OCI)內核(這爲開發者提供了強大的軟硬件調試實時代碼,OCI調試功能可根據FPGA JTAG端口上接受的指令,直接監視和控制片內處理器的工作情況)。

此外,基於QuartusⅡ平臺的用戶可編輯的Nios核含有許多可配置的接口模塊核,包括:可配置高速緩存(包括由片內ESB或外部SRAM或SDRAM,100M以上單週期訪問速度)模塊,可配置RS232通信口、SDRAM控制器、標準以太網協議接口、DMA、定時器、協處理器等等。在植入(配置進)FPGA前,用戶可根據設計要求,利用QuartusⅡ和SOPC Builder,對Nios及其外圍系統進行構建,使該嵌入式系統在硬件結構、功能特點、資源佔有等方面全面滿足用戶系統設計的要求。Nios核在同一FPGA中被植入的數量沒有限制,只要FPGA的資源允許,此外Nios可植入的Altera FPGA的系列幾乎沒有限制,在這方面,Nios顯然優於Xilinx的Micro Blaze。

另外,在開發工具的完備性方面、對常用的嵌入式操作系統支持方面,Nios都優於Micro Blaze。就成本而言,由於Nios是由Altera直接推出而非第三方產品,故用戶通常無需支付知識產權費用,Nios的使用費僅僅是其佔用的FPGA的邏輯資源費。因此,選用的FPGA越便宜,則Nios的使用費就越便宜。

  4、基於FPGA的DSP系統設計

在這去很長一段時間內,DSP處理器(如T1的TMS320系列)是DSP應用系統核心器件的唯一選擇。儘管DSP處理器具有通過軟件設計能適用於不同功能實現的靈活性,但面對當今迅速變化的DSP應用市場,特別是面對現代能信技術的發展,早已顯得力不從心了。

例如其硬件結構的不可變性導致了其總線的不可改變性,而固定的數據總線寬度,已成爲DSP處理器一個難以突破的瓶頸。DSP處理器的這種固定的'硬件結構特別不適合於當前許多要求能進行結構特性隨時變更的應用場合,即所謂面向用戶型的DSP系統,或者說是用戶可定製型(如利用Nios加FPGA資源構成的DSP硬核加速模塊的DSP系統),或可重配置型的DSP應用系統(Customized DSP或Reconfigurable DSP等,即利用FPGA的可重配置特性的DSP系統),如軟件無線電、醫用設備、導航、工業控制等方面。至於在滿足速度要求方面,由於採用了順序執行的CPU架構,DSP處理器則更加不堪重負。

面向DSP的各類專用ASIC芯片雖然可以解決並行性和速度的問題,但是高昂的開發設計費用、耗時的設計週期及不靈活的純硬件結構,使得DSP的ASIC解決方案日益失去其實用性。

現代大容量、高速度的FPGA的出現,克服了上述方案的諸多不足。在這些FPGA中,一般都內嵌有可配置的高速RAM、PLL、LVDS、LVTTL以及硬件乘法累加器等DSP模塊。用FPGA來實現數字信號處理可以很好地解決並行性和速度問題,而且其靈活的可配置特性,使得FPGA構成的DSP系統非常易於修改、易於測試及硬件升級。

在利用FPGA進行DSP系統的開發應用上,已有了全新的設計工具和設計流程。DSP Builder就是Altera公司推出的一個面向DSP開發的系統級工具。它是作爲Matlab的一個Simulink工具箱(Tool Box)出現的。Matlab是功能強大的數學分析工具,廣泛用於科學計算和工程計算,可以進行復雜的數字信號處理系統的建模、參數估計、性能分析。Simulink是Matlab的一個組成部分,用於圖形化建模仿真。

DSP Builder作爲Simulink中的一個工具箱,使得用FPGA設計DSP系統完全可以通過Simulink的圖形化界面進行,只要簡單地進行DSP Builder工具箱中的模塊調用即可。值得注意的是,DSP Builder中的DSP基本模塊是以算法級的描述出現的,易於用戶從系統或者算法級進行理解,甚至不需要十分了解FPGA本身和硬件描述語言。

相比之下,常用的數字信號處理(DSP)的解決方案的問題有:

(1)工作速度慢,如TMS320C5402/10/16的處理速度僅0.1GMACs,與其相關的“DSP實驗開發系統”上的A/D、D/A的工作速度僅有40kHz,屬於語音頻率範圍。對於信號的採樣和輸出頻率範圍都比較低,能完成的實驗項目非常少,大多數通信領域中的實驗無法完成(如DDS、FSK等)。而FPGA系統的DSP處理速度可達70GMACs,相關的A/D、D/A的工作速度達數十至數百MHz,可達射頻範圍;

(2)在數字通信領域,如軟件無線電領域中將無能爲力;

(3)由於系統完全基於特定的DSP處理器,對於協議更新、通信格式改變、硬件工作模式發換等要求,硬件系統無法進行實時或非實時的重構,而FPGA具有重配置功能,因而十分容易實現;

(4)儘管使用了JTAG調試手段,但本質上仍然沿用了傳統的CPU調試方法,對於許多不同的DSP器件,將對應不同硬件結構、彙編語言和開發工具,因此開發設計技術難以標準化和規範化,開發效率極低;

(5)難以納入先進的SOC開發技術及相關的自頂向下的系統級設計及優化;

(6)開發者只能被動地跟隨和使用市場上已有的DSP器件,無法根據既定的設計系統的技術指標要求、結構特點、未來的硬件升級可能性、性價比估算等等必要因素設計自己的DSP硬件系統。

然而基於FPGA和SOPC技術的現代DSP技術完全突破了傳統DSP系統和設計技術的瓶頸,克服了傳統方案的諸多劣勢,在高頻高速的DSP設計和應用領域拓展了自己全新的空間。現代DSP解決方案完全基於EDA特有的自頂向下的設計流程和高速的並行算法結構。

設計方法可以從與硬件完全無關的系統級開始,首先利用Matlab強大的系統設計、分析能力和DSP Builder提供的模塊(或IP核)完成頂層系統設計及系統仿真測試,然後通過DSP Builder中的Signal Compiler將Simu link模型文件自動轉換成VHDL的RTL表述和工具命令語言(Tcl)腳本,再進行RTL級的功能仿真,並通過SOPC設計工具QuartusⅡ進行綜合、適配與時序仿真;最後形成對指定FPGA進行編程配置的POF和SOF文件,實現硬件DSP系統的仿真測試,其間可以將設定好的嵌入式邏輯分析儀Signal TapⅡDSP硬件系統文件一同適配並下載到FPGA芯片中去,然後可在MATAB的Simu link窗口觀測到通過JTAG口,來自Signal TapⅡ測得的芯片中DSP硬件模塊的實時工作波形,從而實現硬件仿真和調試的目的。最後,如有必要,可以將DSP硬件模塊通過SOPC接口編輯成Nios嵌入式系統處理器的用戶指令。顯然,這一先進的設計技術終於使DSP技術在頻率高端的數字信號處理走上了現規範化、標準化、高效率和知識產權化的道路。

  5、計算機處理器設計

EDA技術與FPGA在通信領域中的成功已是衆所周知的事實了,而對於一般的處理器的實現也已司空見慣。如利用硬件描述語言設計嵌入式系統處理器、各類CPU或單片機等,並以軟核的形式在FPGA中實現。但利用FPGA實現高性能的處理器,乃至超級計算機處理器的功能,不能不說是一項嶄新的嘗試。目前,儘管基於EDA技術的計算機處理器的FPGA實現尚未進入全面的商業化開發階段,但其研究和應用的成果卻不得不令人深感FPGA在這一領域中的巨大潛力和廣闊的市場。

例如,美國Wincom Systems公司正在推出一款服務器中的處理器竟然是用Xilinx公司的FPGA設計成的。這款專爲網站運行而設計的服務器尺寸僅有DVD播放機大小,工作能力卻相當於甚至超過50臺戴爾、IBM或SUN公司售價5000美元的服務器,其成本僅爲2.5萬美元。我們知道,傳統的個人電腦及服務器通常都採用英特爾的奔騰處理器或SUN計算機系統公司的SPARC芯片作爲中央處理單元,而Wincom Systems的這一產品卻沒有采用傳統的微處理器,選用現場可編程門陣列(FPGA)芯片來驅動。儘管FPGA芯片的主頻速度比奔騰處理器慢,但卻可並行完成多項任務,即微處理器在每一時間節拍(如某一指令週期)中只能執行一條指令,完成一次操作。因此,Wincom Systems公司的服務器只需配置幾個價格僅爲2000多美元的FPGA芯片,便可擊敗SUN公司的服務器或採用英特爾處理器的電腦,達到該公司副總裁Douglas Henderson所說的,其服務器處理的速度比普通服務器快50到300倍。

此外,美國的Time Logic公司也間接受益於FPGA芯片。戴爾和SUN公司生產的某些標準服務器也採用Altera公司的FPGA芯片。Time Logic公司對這些標準服務器加以改進後,生產了一種用於基因研究的高速處理設備。該公司總監Christopher Hoover說,他們的設備比原來的產品至少快1000倍!Annapolis Micro Systems公司也在其計算機電路板中集成了Xilinx的FPGA芯片,以提高產品性能。儘管這種產品的平均售價高達2.5萬美元,但是其銷售量卻比以前翻了一番。而美國的Blue Arc公司採用了FPGA開發出一種存儲器產品,其存取速度比Network Appliance和EMC公司的競爭產品更快。Mid Stream Technologies公司則採用FPGA芯片爲有線電視運營商開發視頻流服務器。這款服務器採用了2片FPGA芯片,可同時提供425路視頻流信號,經基於通用微處理器的服務器速度快得多。

特別是當利用那些嵌有功能強大的微處理器的FPGA(如Virtex-Ⅱ Pro)構建服務器中的處理器時,該系統具備了巨大的硬件設計靈活性。例如一臺網絡服務器的FPGA中的可編程邏輯部分可以根據不同的標準進行訂製,而不必爲每個國家開發一種新的芯片。

不言而喻,在強大的EDA工具的幫助下,基於FPGA的處理器在一定程度上正在蠶食微處理器的市場。50多年前,匈牙利數學家Neumann提出了電腦的設計構想,即通過中央處理器從存儲器中存取數據,並逐一處理各項任務。現在,通過採用可編程芯片取代微處理器,電腦可並行處理多項任務,改變了基於Neumann提出的電腦架構基本工作方式,從而爲計算機設計領域突破已趨於速度極限的傳統微處理器開闢了一條全新的道路。同時也正如Xilinx的首席執行官司Willem Roelandts所說,“可編程芯片將掀起下一輪應用高潮”。

以基於EDA開發技術的FPGA實現的處理器在超級計算機的設計中也將有其一席之地。傳統的超級計算機應該是科技世界中的極品,其售價奇高、速度飛快,它集成了數以千計的微處理器。但這種超級計算機也浪費了非常多的芯片資源,每個處理器只能進行單任務操作,大部分功能難以充分發揮。如果採用FPGA來武裝超級電腦,在發揮FPGA原有的並行工作的基礎上,利用FPGA的可重配置特性,即針對不同的處理任務和算法模型,現場配置進FPGA相應處理器結構文件,從而使得同一硬件電路結構在不同的時間段,形成不同的等效硬件結構以高效地對付不同的處理任務。例如,此超級計算機某一段瞬間可以用於預報全球天氣狀況,下一時間則能用於根據某一公司的主要利率對衝情況來評估券市場的風險,然後又進入基因組合覈對的分析,等等。

因此,不難理解,如Roelandts所說的,“我們認爲下一代超級電腦將基於可編程邏輯器件”,他聲稱,這種機器的功能將比目前最大的超級電腦還要強大許多。EDA專家William Carter認爲,只要EDA開發工具的功能允許,將有無數的證據證明FPGA具有這種神奇的能力,進而實現基於FPGA的超級電腦的開發。

美國的Star Bridge Systems公司聲稱已解決了這一問題。該系統公司採用了FPGA芯片和該公司自己的Viva編程語言開發出了“運行速度無與倫比”的“hypercomputer”。對該超級電腦進行測試的美國國家航空航天局(NASA)科學家表示,這一產品的性能令人過目難忘,但目前尚未達到以實用階段,其它公司或機構的研究人員,如美國加州大學伯克利分校和楊百翰大學(Brigham Young University)的研究員也正在設計基於FPGA的超級計算機,這些計算機可在運行中實現動態(現場)重配置,這對定位危險目標等軍事應用和麪容識別一類的計算密集型安全應用等需求不同硬件加速算法的多任務功能的實現十分有用。

  6、與ASIC市場的競爭技術

儘管EDA技術開發對象是ASIC和FPGA,但它們在應用領域中的優勢和劣勢的對比歷來十分鮮明。然而在近年來,隨着EDA開發工具功能的不斷加強,FPGA器件性能的提高,這種對比在許多方面正在趨於模糊。

一方面,相對於ASIC應用市場,具有競爭力的FPGA器件的出現,使FPGA原來在單片成本、邏輯規模和工作速度等方面相對於ASIC的劣勢越來越小,而其巨大的靈活性、現場可配置性(相當於現場硬件升級或硬件重構)、良好的設計效率和成功率,使得FPGA成爲ASIC市場競爭者的地位不斷強化。Altera推出的Cyclone系列FPGA和Xilinx推出的Spartan-3系列FPGA都稱爲此類大規模可編程器件的代表。當然這只是一種間接的競爭與替代。

另一方面,通過強化EDA工具的設計能力,在保持FPGA開發優勢的前提下,引入ASIC的開發流程,從而對ASIC市場形成直接競爭。這就是Altera推出的HardCopy技術。

HardCopy就是利用原有的FPGA開發工具,將成功實現於FPGA器件上的系統通過特定的技術直接向ASIC轉化,從而克服傳統ASIC設計中普遍存在的問題。

與HardCopy技術相比,對於系統級的大規模ASIC開發,有不少難於克服的問題,其中包括開發週期長、產品上市慢、一次性成功率低、有最少的投片量要求、設計軟件工具繁多且昂貴、開發流程複雜等。例如,此類ASIC開發,首先要求可觀的技術人員隊伍、高達數十萬美元的開發軟件費用,和高昂的掩模費用,有整個設計週期可能長達一年。ASIC設計的高成本和一次性低成功率很大部分是由於需要設計和掩模的層數太多(多達十幾層)。

然而如果利用HardCopy技術設計ASIC,開發軟件費用僅2000美元(QuartusⅡ),SOC級規模的設計週期不超過20周,轉化的ASIC與用戶設計習慣的掩模層只有兩層,且一次性投片的成功率近乎100%,即所謂的FPGA向ASIC的無縫轉化。而且用ASIC實現後的系統性能將比以前在HardCopy FPGA上驗證的模型提高近50%,而功耗則降低40%。一次性成功率的大幅度提高即意味着設計成本的大幅降低和產品上市速度的大幅提高。

HardCopy技術是一種全新的ASIC設計解決方案,即將專用的硅片設計和FPGA至HardCopy自動遷移過程結合在一起的技術,即首先利用QuartusⅡ將系統模型成功實現於HardCopy FPGA上,然後幫助設計得把可編程解決方案無縫地遷移到低成本的ASIC上的實現方案。這樣,HardCopy器件就把大容量FPGA的靈活性和ASIC的市場優勢結合起來,實現對於有大批量要求並對成本敏感的電子系統產品上。從而避開了直接設計ASIC的困難,而從原型設計提升至產品製造,通過FPGA的設計十分容易地移植到HardCopy器件上,達到降低成本,又加快面市週期的目的。HardCopy器件(如HardCopy Stratix系列、Excalibur系列FPGA)避免了ASIC的風險,它採用FPGA的專用遷移技術。其HardCopy ASIC是直接在Altera PLD體系之上構建的,採用有效利用面積“邏輯單元海”內核。本質上HardCopy器件是FPGA的精確複製,剔除了可編程性、專用配置和採用金屬互連使用的走線。這樣器件的硅片面積就更小,成本就更低,而且還改善了時序特性。

由於EDA技術是面向解決電子系統最基本最低層硬件實現問題的技術,因此就其發展趨勢的橫向看,勢必涉及越來越廣闊的電子技術及電子設計技術領域。其中包括電子工程、電子信息、通信、航天航空、工業自動化、家電、生物工程等等。而且隨着大規模集成電路技術的發展和EDA工具軟件功能的不斷加強,所涉及的領域還將不斷擴大;而從縱向看,EDA技術實現的硬件形式和涉及的理論模型必將走向一個統一的結合體,即單片系統SOC或SOPC。